International Journal of
Physical Sciences

  • Abbreviation: Int. J. Phys. Sci.
  • Language: English
  • ISSN: 1992-1950
  • DOI: 10.5897/IJPS
  • Start Year: 2006
  • Published Articles: 2568

Full Length Research Paper

Leakage power reduction techniques of 45 nm static random access memory (SRAM) cells

Manish Dev Singh1*, Shyam Akashe2 and Sanjay Sharma2
  1Department of Electronics and Communication Engineering, RGPV University, Bhopal, M. P., India. 2Department of Electronics and Communication Engineering, Thapar University, Patiala, Punjab, India.
Email: [email protected]

  •  Accepted: 23 August 2011
  •  Published: 02 December 2011

Abstract

 

As the technology scales down to 90 nm and below, static random access memory (SRAM) standby leakage power is becoming one of the most critical concerns for low power applications. In this article, we review three major leakage current components of SRAM cells and also discuss some of the leakage current reduction techniques including body biasing, source biasing, dynamic VDD, negative word line, and bit line floating schemes. All of them are achieved by controlling different terminal voltages of the SRAM cell in standby mode. On the other hand, performance loss occurs simultaneously with leakage saving. To validate the effectiveness of low power techniques, the leakage current, static noise margin, and read current of SRAM cells, based on the UMC 45 nm complementary metal–oxide–semiconductor (CMOS) process with leakage current reduction techniques has been simulated. The results indicate that by using the dynamicVDD and source biasing schemes, greater leakage suppressing capability, although with a higher performance loss, can be obtained. Therefore, the SRAM cell optimization scheme must consider the trade-off between power consumption and speed performance.

 

Key words: Bit line floating, body biasing, Dynamic VDD, low power design, negative word line, source biasing, static random access memory (SRAM).